Trustworthy Systems

Time protection: The missing OS abstraction

Authors

Qian Ge, Yuval Yarom, Tom Chothia and Gernot Heiser

DATA61

University of Birmingham

UNSW Sydney

The University of Adelaide

Best Paper Award

Abstract

Timing channels enable data leakage that threatens the security of computer systems, from cloud platforms to smart-phones and browsers executing untrusted third-party code. Preventing unauthorised information flow is a core duty of the operating system, however, present OSes are unable to prevent timing channels. We argue that OSes must provide time protection, the temporal equivalent of the established memory protection, for isolating security domains. We examine the requirements of time protection, present a design and its implementation in the seL4 microkernel, and evaluate efficacy and cost on x86 and Arm processors.

BibTeX Entry

  @inproceedings{Ge_YCH_19,
    address          = {Dresden, Germany},
    author           = {Ge, Qian and Yarom, Yuval and Chothia, Tom and Heiser, Gernot},
    booktitle        = {EuroSys Conference},
    date             = {2019-3-25},
    doi              = {10.1145/3302424.3303976},
    month            = mar,
    numpages         = {17},
    paperurl         = {https://trustworthy.systems/publications/full_text/Ge_YCH_19.pdf},
    publisher        = {ACM},
    slides           = {https://trustworthy.systems/publications/papers/Ge_YCH_19.slides.pdf},
    title            = {Time Protection: the Missing {OS} Abstraction},
    video            = {https://se.inf.tu-dresden.de/eurosys2019/Video/26_3/Qian%20Ge.mov},
    year             = {2019}
  }

Download