Trustworthy Systems

Proving the absence of microarchitectural timing channels

Authors

Scott Buckley, Robert Sison, Nils Wistoff, Curtis Millar, Toby Murray, Gerwin Klein and Gernot Heiser

UNSW Sydney

University of Melbourne

ETH Zürich

Proofcraft

Published:

https://arxiv.org/pdf/2310.17046.pdf

Abstract

Microarchitectural timing channels are a major threat to computer security. A set of OS mechanisms called time protection was recently proposed as a principled way of preventing information leakage through such channels and prototyped in the seL4 microkernel. We formalise time protection and the underlying hardware mechanisms in a way that allows linking them to the information-flow proofs that showed the absence of storage channels in seL4.

Scott Buckley and Robert Sison were joint lead authors

BibTeX Entry

  @article{Buckley_SWMMKH_23,
    author           = {Scott Buckley and Robert Sison and Nils Wistoff and Curtis Millar and Toby Murray and Gerwin Klein
                        and Gernot Heiser},
    howpublished     = {https://arxiv.org/pdf/2310.17046.pdf},
    journal          = {arXiv preprint arXiv:2310.17046},
    paperurl         = {https://trustworthy.systems/publications/papers/Buckley_SWMMKH_23.pdf},
    title            = {Proving the Absence of Microarchitectural Timing Channels},
    year             = {2023}
  }

Download